Сайт использует сервис веб-аналитики Яндекс Метрика с помощью технологии «cookie». Пользуясь сайтом, вы даете согласие на использование данной технологии.
PS-06 #05 Управляем светодиодами с помощью кнопок. RTL и GL симуляция 2025 11 02 14 04 35
//============================================================= // Project: PS-06 FPGA (EP4CE6E22C8N) // Module: key_led // Function: Нажатая кнопка → количество включённых светодиодов // Author: Alex M. // Date: 2025-10-31 //============================================================ module key_led ( input wire [3:0] key, // 4 кнопки (низкоактивные) output reg [7:0] led // 8 LED (правые не используются) ); always @(*) begin case (key) 4'b1110: led = 8'b1000_0001; // key1 → 1 LED 4'b1101: led = 8'b1100_0011; // key2 → 2 LED 4'b1011: led = 8'b1110_0111; // key3 → 3 LED 4'b0111: led = 8'b1111_1111; // key4 → 4 LED default: led = 8'b1111_1111; // иначе выключить //default: led = 8'b0000_0000; // иначе включить endcase end endmodule